Intel'in CES 2019 yolculuğunu tek bir makalede okuyun: 5G, cips, AI ...

8 Ocak'ta CES 2019, ABD'nin Las Vegas kentinde başladı. 2019'da CES'in 155 ülkeden 4.500 katılımcı, 2.75 milyon fit kare sergi alanı ve 180.000 katılımcının olacağı bildirildi. CES 2019 arifesinde Intel, cips, otonom sürüşten yapay zeka ve 5G'ye kadar pek çok kuru ürünle dolu büyük bir basın toplantısı düzenledi.

yapay zeka

Basın toplantısında Intel, yapay zekaya dayalı bir 3D sporcu izleme teknolojisi olan 3D Atlet Takibini ortaklaşa geliştirmek için Olimpiyat Oyunlarında Alibaba ile stratejik bir işbirliğine ulaştığını duyurdu.

Intel'e göre, teknoloji, sporcuların tüm yarışma veya antrenman sürecini izlemek için mevcut ve gelecek Intel donanımını ve Alibaba Cloud teknolojisini kullanacak. Bilgisayarla görme ve yapay zeka algoritmalarının bir araya gelmesiyle 3D sporcu takip teknolojisi, özel sensörler kullanmadan kameradan yarışma veya antrenman sırasında sporcuların 3D fotoğraflarını çıkarıyor ve bulutta analiz ediyor.

Benzer şekilde, sporcular bu teknolojiyi kendi antrenman durumlarını anlamak ve analiz etmek ve antrenman etkilerini iyileştirmek için kullanabilirler. Seyirci, izleme deneyimini geliştirmek için bu teknoloji aracılığıyla oyunu da izleyebilir. Bu teknolojinin 2020 Tokyo Olimpiyatları'nda kullanılacağı bildiriliyor.

Ayrıca Intel, bu yılın ikinci yarısında tamamlanacak olan yapay zeka çiplerini geliştirmek için Facebook ile işbirliğini de duyurdu.

Bu yeni yapay zeka çipinin, araştırmacılara sözde "akıl yürütme", yani yapay zeka algoritması kullanarak ve bunu uygulayarak, fotoğraflarda arkadaşlara otomatik olarak etiket ekleme gibi konularda yardımcı olacağı anlaşılıyor.

Ice Lake 10nm CPU, Lakefield CPU

Intelin Sunny Cove mimarisiyle 11 nesil çekirdek grafiklerini entegre eden Intelin Ice Lake işlemcisinin daha iyi pil performansına ve daha hızlı grafik işleme özelliklerine sahip olduğu bildirildi.

Ice Lake işlemcisi aynı zamanda daha hızlı yapay zeka hesaplamaları elde etmek için Intel'in derin öğrenme geliştirme teknolojisini de kullanıyor. Intel, bu yeni teknolojinin Intel CPU'ların makine öğrenimi görevlerini derin öğrenme geliştirme teknolojisi olmadan iki kat daha hızlı gerçekleştirmesini sağlayacağını iddia ediyor.

Ice Lake işlemcisi, kablolu veya kablosuz olarak en hızlı bağlantıya ulaşabilen Thunderbolt 3 ve WiFi 6'yı da destekler.

Intel olay yerinde ayrıca yeni istemci platformu Lakefield'ı halka tanıttı. IP'nin daha küçük boyutlu tek bir ana karta entegrasyonuna izin veren hibrit bir CPU mimarisi ve "Foveros" 3D paketleme teknolojisi kullanır.

Daha önce, makine farklı grafik çözümleri arasında anında geçiş yapamıyordu, daha güçlü bir deneyim sağlıyordu, ancak pil ömrü üzerinde ciddi bir etkisi vardı. Intel'in Lakefield CPU'su, işlemcilerdeki benzer sorunları çözmek için hibrit bir yaklaşım kullanmak üzere tasarlanmıştır.

Lakefield yongasının içinde 5 bağımsız çekirdek vardır, 4 Atom tabanlı çekirdek düşük yoğunluklu görevler için kullanılır ve diğer çekirdek yüksek güçlü görevler için kullanılır.

Ve Intel'in Foveros paketleme teknolojisi, yığılmış bir tasarıma sahip yeni bir hibrit CPU'nun gerçekleştirilmesine yardımcı olur. Bu paketleme işlemi, çipin genel fiziksel boyutunu azaltarak daha küçük anakartlar ve daha ince bilgisayarlar oluşturmaya yardımcı olur.

Athena Projesi

Ayrıca Intel, yeni bir gelişmiş dizüstü bilgisayar türü tanımlamayı amaçlayan Project Athena projesini de sergiledi.

Bunların arasında işlemci, daha uzun pil ömrü elde etmek ve yeterli bilgi işlem performansını sürdürmek için "Ice Lake" kullanacaktır. Proje Athena, tasarımda ayrıca 5G, yapay zeka ve diğer yeni teknoloji uygulamalarını entegre etmeyi düşünecek ve aynı zamanda dizüstü bilgisayar ürünlerinin gelişimini genişletmek için dizüstü bilgisayar tedarik zinciri ekosistemiyle işbirliği yapacak.

Kod adı Project Athena olan yeni dizüstü bilgisayar projesi Intel'in Acer, Asus, BOE, Compal, DELL, EDO, Google, HP, Huawei, Lenovo, Microsoft, Samsung, Sharp, Xiaomi vb. Üreticilerle işbirliği yapması bekleniyor. İlk parti ürünlerin bu yıl içinde gelmesi bekleniyor. Yılın ikinci yarısında çıkıyor.

Veri merkezleri için Intel, Xeon Ölçeklenebilir işlemci Cascade Lake'in sevkiyatının başladığını duyurdu. Bu işlemci, Optane veri merkezi düzeyinde kalıcı belleği ve yapay zeka derin öğrenmeyi ve çıkarımı daha da hızlandırmayı amaçlayan Intel DL Boost teknolojisini destekler.

5G

Basın toplantısında Intel, Snow Ridge adlı yeni bir çip üzerinde 5G sistem çözümü geliştirdiğini açıkladı. Yeni çipler, çoğunlukla kablosuz baz istasyonlarında kullanılan 10 nanometre işlemiyle üretiliyor ve 5G ağlarının kenarında yer alıyor ve operatörlerin yeni nesil ağların "zekasını" geliştirmesine olanak tanıyor.

TSMC ve Samsungun en son yonga üretim süreci 7 nanometreye ulaşmış olsa da, birçok şirketin ilk 5G modemleri 10 nanometre işlem kullanacak.

Intel, Snow Ridge'in pazar payının 2014'te 0'dan 2022'de% 40'ın üzerine çıkarak "umut verici" olduğunu tahmin ediyor. Gösterime göre çip, uzaktan ameliyattan sanal gerçekliğe kadar farklı kablosuz baz istasyonları için birden fazla veri akışı hizmeti sağlayabildiğini gösteriyor.

Aslında, birçok endüstri gözlemcisi Intel'in 2019'da daha fazla 5G eylemi duyurmasını bekliyor. 2018'deki MWC'den bu yana Intel, 5G'de 5G'nin minyatürleştirilmesi, ısı dağılımı ve güç tüketimi sorunlarına engel olabilecek "sessiz durumda". Buna karşılık Qualcomm, 2018'de 5G aşamasındaki ana rollerden biri olmuştur. Qualcomm'un 2019 yılında neredeyse tüm 5G ekipman sözleşmelerini aldığını söylediği anlaşılıyor.

"FIFA 19" Çin Süper Ligi'ne katılacağı doğrulandı, 16 takım bir araya geldi
önceki
Sağlık hizmetlerinden arkadaşlığa, CES2019 siyah teknoloji robotları daha ilginç
Sonraki
CES2019 siyah teknolojisi TOP5: resmi olarak 8K çağına giriyor, 5G yakında gerçeğe dönüşecek
"Blog Post Serisi" PCIe Okuryazarlığı-PCIe Hata Raporlama Mekanizması
Douban'ın 8,4 yüksek skorlu şaheseri "Going Forward", netizenleri tartışmak için gerekçe göstererek soğukkanlı.
CES2019Panasonic LUMIX S serisi kamera bilgilerinin pozlanması
Sahipliğin ait olması gereken AI resimlerini "yıkamak" mı yoksa "yaratmak" mı?
Feng Xiaogang'ın klasik Yeni Yıl filmleri, bu aslında seyirciyi ağlattı
Li Xinghua: Reform ve açılım bana yaşamda fırsatlar verdi. Chongqing girişimcilik için kutsanmış bir yer
ACL'nin "Derin Öğrenme Ateşi": Makaleler "çukura girdi" ve şirketler "giriş" için akın etti ACL 2017
"Game of the Brave" Londra galası haberi verdi: Jack Black lise çiçeklerini "yeniden dizecek"
Huang Zhang, Meizu Note9'u ifşa etti: Snapdragon 6150 yongası + 48 milyon piksel, netizenler: fiyat gerçekten güzel kokulu!
Qingming neden mezarı süpürmek için memleketine dönüyor? Bu şimdiye kadar duyduğum en iyi cevap!
İlk İnternette | "Moving Maze 3" "Son Bölüme Tanık Olun" Fragmanını Açıkladı, Koşucular Finale Hoş Gelecek
To Top