"Teknoloji" 2019 IEDM: IBM ve Leti

Bu makale Semiconductor Encyclopedia'dan yeniden basılmıştır, yazar Scotten Jones, teşekkür ederim.

IBM ve Leti, bu yıl IEDM hakkında, işbirliğine dayalı bir Nanosheet kağıdı da dahil olmak üzere birkaç makale yayınladı. IBM'in kıdemli mantık ve bellek teknolojisi direktörü Bu Huiming ve IBM'in kıdemli mühendisi Veeraraghavan Basker ve ardından Leti gelişmiş CMOS laboratuvarı başkanı Francois Andrieu ve süreç entegrasyon mühendisi Shay Reboh ile röportaj yapma fırsatım oldu.

IBM

IBM'in Albany (CNSE) merkezinde, şu anda Samsung'a aktarılmış olan 5nm teknolojisini geliştirdikleri ve 3 / 2nm teknoloji düğümünde araştırma yapan bir araştırma ve geliştirme üretim hattı var. Cihaz mimarisi değişmiş olsa da, bazı benzersiz ekipmanlara ihtiyaç duyulmaktadır, ancak 5nm ile karşılaştırıldığında, ekipmanın yeniden kullanım oranı çok yüksektir. Yeni cihazlar geliştirmeye başladıklarında, ölçeği küçültmeden önce ekipman ve malzemeleri değerlendirmek için test yapılarını kullanırlar. Önceki düğümün yapısı malzeme ve ekipman geliştirmek için kullanılıyorsa, süreç minyatürleştirme bir sorun haline gelecektir.

IBM'in ilk makalesi, "Yüksek Performans ve Düşük Güç Uygulamaları için Nanosheet Teknolojisinde Çoklu VT Çözümleri" dir. Nanosheet mimarisinin temel zorluklarından biri, çoklu eşik voltajlarına (Vt) nasıl ulaşılacağıdır. FinFET mimarisi altındaki yöntem, çeşitli çalışma işlevi metallerinden oluşan bir yığın kullanmaktır, ancak nano yaprak mimarisinde, nano yaprak ile nano yaprak arasındaki mesafe, kapasitansı en aza indirmek ve performansı en üst düzeye çıkarmak için mümkün olduğunca küçük olmalıdır.

IBM, dipol ayar Vt kullanma konusunda uzun bir geçmişe sahiptir. Yıllar önce, IBM tarafından ilk kez uygulamaya konulan HKMG süreci, çift kutuplu bir kapı ilk çözümü kullanıyordu ve o sırada, sektördeki diğer şirketler bir son çözüm çözümünü benimsedi. Son grid çözümü HKMG'nin temel çözümü haline gelmesine rağmen, IBM'in dipol alanındaki erken deneyimi, nanosheet mimarisi altında hala yararlıdır. Dipoller, nano sayfalarda birden fazla VT elde etmek ve nano yaprak mimarisinin ana zorluklarının üstesinden gelmek için bir iş fonksiyonu metal yığınının yerini alabilir.

Nano tabakaları yatay olarak istiflemenin bir diğer zorluğu, silikon ve silikon germanyum arasındaki ultra yüksek seçicilikte aşındırmadır. IBM, "Yüksek Performanslı Mantık Yığınlı Kapı-Her Yerde NanoSheet Cihazlarının Etkinleştirilmesi için Yeni Bir SiGe Kuru Seçici Etch" makalesinde, Tokyo Electron ile işbirliğinin sonuçlarını tanıttı. Gaz fazı izotropik aşındırma kullanarak (yazarın notu: Bu ekipmanın TEL'den Certas Wing olduğuna inanıyorum), SiGe (% 25) ile SiGe arasında 150: 1 aşındırma seçim oranı elde ettiler.

Üçüncü makalenin başlığı "Düşük Güç ve Yüksek Performanslı Uygulamalar için Yığılmış Nanosheet Transistörü Etkinleştirmek için Tam Alt Dielektrik İzolasyon" dur. IBM, parazitik kapasitansı azaltmak ve performansı iyileştirmek için nanosheet altında bir dielektrik katman oluşturabilen bir yöntemi açıkladı (bkz. Şekil 1, Şekil 2). Dielektrik, silikon nitrüre dayanıyor, ancak işlem planını açıklamadılar. Nano yaprak yığını, doğrudan silikon substrat üzerinde epitaksiyel olarak büyütüldü, bu nedenle silikon substratın nasıl kazındığı ve silikon nitrürle yeniden doldurulduğu bilinmemektedir.

Şekil 1, yapının editör tarafından ilgili makaleden çıkarılan iki şematik diyagramıdır.

Şekil 2. Farklı adımlar altındaki TEM dilimleri, editör tarafından ilgili kağıttan çıkarılmıştır.

Araştırmaları, 7nm FinFET ile karşılaştırıldığında, Nanosheet'in sabit güç altında performansı% 25'ten fazla artırdığını, güç tüketiminin ise aynı performansta% 50 azaldığını ve FinFET'in 6/5 / 4nm düğümündeki performansının Nanosheet kadar iyi olmadığını gösteriyor. Nanosheet aynı zamanda fotolitografinin genişliği tanımlama yeteneğine de sahiptir, böylece en iyi elektriksel etkiye sahip nano sayfalar ve daha yüksek tahrik akımına sahip nano sayfalar aynı süreçte oluşturulabilir. IBM, Nanosheet adını 2012 civarında önerdi ve 2015 yılında GLOBALFOUNDRIES ve Samsung ile işbirliği içinde 5 nm'lik bir Nanosheet kağıdı yayınladı. Samsung ayrıca kısa süre önce 3nm Nanosheet işlem platformunun 2021'de satışa sunulacağını duyurdu.

Birinci nesil Nanosheet materyali de silikon olacak.Gelecekteki nanosheet'ler için alternatif materyalleri sordum.Arka uçta (BEOL) veya parazitik kapasitans direncinde teknolojik bir atılım yapılmadıkça kanal alternatif materyalinin çabaya değmeyeceğini söylediler. Çok karmaşık bir fiyat. Daha yüksek bir hareketlilik elde etmek için silikon kanalın kristal yönünü ayarlayabilirsiniz (nFET kristal yönünü şu şekilde ayarlayabilirsiniz: < 100 > PFET'i şu şekilde ayarlayın: < 110 > , İkisinin hareketliliğini en üst düzeye çıkarmak için). Veya Nanosheet mimarisinin ötesinde CFET mimarisine (n ve p tipi cihazlarla yığınlanmış Nanosheet). Onlara Nanosheet mimarisinden sonra bunun olup olmayacağını sordum ve yorum yapamayacaklarını söylediler.

Leti

Leti röportajımda, IBM ile "Strainin Gate-All-Around Nanosheet Transistörlerinin Görüntüleme, Modelleme ve Mühendisliği" adlı ortak çalışma makalesini tartıştık. Bu çalışmada, bir kez daha nano yapraklara / nanotellere odaklandılar ve kafes sabitini görüntülemek ve gerilimi ölçmek için transmisyon elektron mikroskobu (TEM) görüntülemeyi kullandılar. Bu teknik, atom ölçeğinde gerginliği görselleştirebilir.

Şekil 3, yapının ilk modellemesini göstermektedir Model, yapının hafif çekme gerilimine maruz kalacağını öngörmüştür.

Şekil 3. Nanosheet suş modellemesi, Leti tarafından sağlanan görüntü.

Kanalı görüntülerken buldukları şey, ara katman dielektriğin (ILD), modelleme sırasında beklenen gerilme geriliminin tersi olan kanala bir sıkıştırma gerilimi uyguladığıdır. Gerilimi kapı yığınından ve kontaklardan ayarlayabilirsiniz, Leti stres yönetiminde çok fazla uzmanlığa sahiptir ve bu tekniği modeli kalibre etmek için kullanabilirsiniz. Şekil 4, sonuçları göstermektedir.

Şekil 4. Kanal geriliminin TEM görüntüsü, görüntü Leti tarafından sağlanmıştır.

Burada kullanılan stres ölçüm teknolojisi Leti tarafından geliştirildi ve onu daha hassas ve hassas hale getirmek için bir dizi profesyonel teknik kullandı. Ayrıca, amorf sahte kapıları biriktirip daha sonra onları polisilikon halinde yeniden kristalleştirdiğinizde, hacimdeki azalmanın boşluklar ve gerilme gerilimi yarattığını buldular.

sonuç olarak

IBM ve Leti'nin IEDM'de yayınlanan Nanosheet üzerindeki çalışması: Aşındırma sürecini iyileştirin, dipol tabanlı VT kontrolü, parazitik kapasitansı azaltmak için yığının altına bir dielektrik katman ekleyin ve nano yaprak yığınındaki gerilimi anlamak için Nano yaprak mimarisi seri üretime doğru ilerliyor. Stres, taşıyıcı hareketliliğini etkileyecek ve ardından cihaz performansını etkileyecektir, bu nedenle gelecekteki süreç optimizasyonunun da anahtarıdır.

Sorumluluk Reddi: Bu makale çevrimiçi olarak çoğaltılmıştır ve telif hakkı orijinal yazara aittir. Bu makalede kullanılan videolar, resimler ve metinler telif hakkı sorunları içeriyorsa, lütfen mümkün olan en kısa sürede bize bildirin, içeriği hemen sileceğiz! Bu makalenin içeriği, orijinal yazarın fikridir; bu, bu resmi açıklamanın onun fikrini kabul ettiği ve gerçekliğinden sorumlu olduğu anlamına gelmez.

SON

Baojing İlçesi, Changtanhe Kasabası, Dabaiyan Köyü: Gücü iletmek için sesi kullanma
önceki
Hafıza fiyatları 2019'da donma noktasına düştü: Üreticiler fiyat artışları yapıyor | Haftalık sektör veri özeti
Sonraki
2020'de Çin otomobil pazarının tahmini, tüketicilerin güveni nerede?
CES 2020'de büyük bir beyin deliği ürünleri envanteri, bir bakışta ekileceksiniz
"Görünüm" stratejisinin özü
CES 2020'den önce yeni ürün lansmanlarına göz atın. Heteroseksüel erkekleri saçmalayan ürünler nelerdir?
5G'den akıllı devrime "Bilim", bu makale okumaya değer
"Geçmiş" Arm sunucu çipi kayıtlarını
Dünya Yarıiletkenlerinin Minimalist Günlükleri
152.800 yuan'dan başlayan Jiangling Yuhu 9 dizel versiyonu piyasaya sürüldü
Hangzhou yetenek öncelikli satın alma kuralları burada, yalnızca bir öncelikli piyangodan yararlanabilirsiniz
Avusturya salgın önleme tedbirlerini güçlendiriyor ve insanların alışveriş için maske takmasını zorunlu kılıyor
Üç Huawei P40 serisi akıllı telefon arasındaki farkı tek bir makalede anlayın
Tokyo Olimpiyatlarının ertelenmesi, 8K TV'nin popülerleşmesinden ne kadar uzakta?
To Top